01
April, 2005*

エイプリルフール *

各所ネタ満載。

今年はネタっぽい本当の話が多くて、結構楽しめた。

D901i *

初めてテレビ電話に挑戦。

...普段は使わないよこの機能 ;)

別キャリアともできるようにならないかなぁ。

 

 

02
April, 2005*

数式 *

数式が書ける方がいろいろ説明が楽だったりするので、PukiWikiでも数式を書けるようにする。

例えば、

&math(\zeta(s) = \Bigsum_{n=1}^\infty \frac{1}{n^s}); ・・・ リーマンのゼータ関数

書くと、

a math image ・・・ リーマンのゼータ関数

こんな感じになって便利 :)

mimeTeXを呼んでいるだけなので、構文はこっちを参照。
アンチエイリアスがかかるほうでコンパイルしてます。

 

 

03
April, 2005*

落雷 *

雷が落ちた。
瞬停も発生したらしく、UPSから停電のブザーがなったり、ケーブルテレビのチューナが1chに戻ったり。

一番の被害は...蛍光灯がお亡くなりになりました :(
真っ暗です。テレビの明かりだけになってしまいましたが、かなり不気味です。

暗くて、明日からの出張準備をどうすれば...

 

 

04
April, 2005*

[出張]台湾出張 1日目 *

今日から再び台湾出張。

コロニナ2 *

出張中、友人に任せるつもりが、友人病欠...隕石落ちませんように... :(

WILLCOM *

せっかくなので、国際ローミングサービスを申し込む。
台湾でも京ぽん使えますよ。

申し込みを電話でしかできなかったり...その端末で専用ダイアルにかけてるのに電話番号聞いてきたり...大丈夫なのか?
で、一番気になったのは、折り返しの電話非通知 X(

DoCoMo *

端末をFOMAにしたし、FOMAカードが緑だったりするので、こっちも国際ローミングサービスを申し込む。
マイメニューから申し込み可。

ネットワークパスワードをちゃんと設定しているので、あっという間に完了。

台湾到着 *

phs.jpg

早速京ぽんをローミング設定に切り替える。
上の方にRTの表示が。

Webサイトも普通につながりますよ。素敵すぎです。
もちろんつなぎ放題適用外なので、つなぎすぎに注意。

コロニナにはつながりませんでした :(

 

 

05
April, 2005*

[出張]台湾出張 2日目 *

ホテル *

部屋は、自宅より広い。
冷静に考えると、自宅はものすごく狭いような...そういえば隣近所は学生さんばっかりだ。

 

 

06
April, 2005*

[出張]台湾出張 3日目 *

京ぽん *

今回は京ぽんを持ってきているので、お客さんに見せびらかす。
フルブラウザ搭載って言うのが結構ウケた :)

 

 

07
April, 2005*

[出張]台湾出張 4日目 *

国際ローミング *

FOMAカードを、マルチキャリアの携帯に装着。
しばらく待つと、アンテナが三本になった。

すげー ;)

 

 

08
April, 2005*

[出張]台湾出張 5日目 *

音訓 *

「酒」の発音を訊かれた(ような気がする)。

A: 「酒」は「さけ」、「日本酒」は「しゅ」なのは何故か?(って訊いているような気がする)。
私: 日本では同じ漢字でも複数の読み方があるよ(って伝えたつもり)。
A: 台湾では、漢字の読みは一つだよ(って言っているような気がする)。

これでコミュニケーションは取れてるのか?

 

 

09
April, 2005*

[出張]台湾出張 6日目 *

水餃子 *

水餃子を食べに誘われた(ような気がする)。

店に着いて「15個で良いか?」っていわれた気がしたのでOKすると、すごい量の水餃子が...
「1人15個かよ」ってツッこんでも、相手には通じず :)

おなかいっぱい。

 

 

10
April, 2005*

[出張]台湾出張 7日目 *

今日は休み。
例によってホテルで仕事 :(

暑い *

ものすごく暑い。
温度計を見ると、24℃超えてます。さすが南国?

Amazon *

Amazonの書影が前より大きくなったような気がするのは気のせい?

 
  • 24℃?ホテルの部屋で冷房入れてたりして。 -- ナンシー 2005-04-10 (日) 15:48:50
  • 2004/4/24 弊社のホームページに対する推薦の書き込みを拝見しました。ありがとうございました。 -- ttfs 2005-04-16 (土) 15:35:10

 

11
April, 2005*

[出張]台湾出張 8日目 *

週はじめ。

寒い *

昨日はあんなにも暑かったのに、今日はものすごく寒い。
実はそんなにも寒くないけど、昨日のギャップでそう感じるのかもしれない。
と思って温度計を見ると17℃...微妙 :(

 

 

12
April, 2005*

[出張]台湾出張 9日目 *

レイニーディ。
一段と冷え込む :(

 

 

13
April, 2005*

[出張]台湾出張 10日目 *

週末には帰れるかな...

FPGA *

最近の自分にはクリエイティブさが欠けている。
何かを作るべくFPGAの選定。

  • DI/O 54ポート
  • RAM(FF) 512bitぐらい
  • 速度 きにしない
  • 電源 3.3V(5Vトレラント)

回路規模は少ないので、RAMさえあればCPLDでも可。
FPGAのConfigROMが高くなりそうだけど、FLEX10+Yamamotoさん方式もいいかも。
Digi-keyはFLEX10は扱っていないので入手はどうすればいいのか... :(

 

 

14
April, 2005*

[出張]台湾出張 11日目 *

別の現場の応援を頼まれる。

台南へ *

台南までの直通バスがあるとのことなので、バスで移動。
3時間、ものすごくゆれたー :(

ホテルはインターネット完備だけど、ものすごく遅いです。
私が帯域を使いすぎてるわけじゃないですよー。

 

 

15
April, 2005*

[出張]台湾出張 12日目 *

勝手の分からない町で困惑中。

mod_rewrite *

sakuraがいつのまにかmod_rewriteに対応していたので、設定をこんな感じに追加。

RewriteRule ^(.+)\.html$ index.php?$1

いままでの

http://su-u.jp/juju/?気まぐれ日記

だけじゃなく、

http://su-u.jp/juju/気まぐれ日記.html

でもアクセスできるようになったはず。
階層ページも

http://su-u.jp/juju/マイクロマウス/2004年度版.html

になって、ウマー。

PukiWikiを知らない人は、htmlっぽく見えないかなぁ。

 

 

16
April, 2005*

[出張]台湾出張 13日目 *

今日で終わり?

GMail *

GMailが日本語メニューになったらしい。
たむらさんにinviteしてもらい、早速遊んでみた。

ホントだ!!日本語メニューになってる...って元のメニュー知らないけど(ぉぃ

しばらくいろいろ試していく予定。

サインインするときに、パスワードが単純すぎるって10回ほど怒られたのは内緒です :(

 

 

17
April, 2005*

[出張]台湾出張 14日目 *

今日は移動だけなので、のんびり。

電気街 *

朝時間があったので台南の電気街へ。
ASUSのPentiumMマザーを探したけど見つからず。

帰国 *

日本語がちゃんと通じるってすばらしい :)

 

 

18
April, 2005*

代休 *

今日は休み :)

ヨドバシへ *

久々にヨドバシへ。
HUBのポートが足りなくなっていたので思い切ってGbitのHUBを購入。

今晩消費電流を測定しながらエージングして、特に問題なければ明日から実戦配備しよう ;)
念のため、メルトダウン時の消費電力も測ったほうがいいかなぁ。

24時間入れっぱなしなので、消費電力が気になる今日この頃...

余震 *

相変わらす余震は続いており、高いところに荷物を置けないでいます。
足元に荷物を置いているので、なかなか部屋が片付かない :(

 

 

19
April, 2005*

[サーバ]Graphviz *

Graphvizをインストール。

% wget http://www.graphviz.org/pub/graphviz/ARCHIVE/graphviz-2.2.1.tar.gz
% tar xzvf graphviz-2.2.1.tar.gz
% cd graphviz-2.2.1
% ./configure --prefix=/home/juju/
% make
% make install

sakuraにはフォントが入っていないので、日本語はどうすればいいのかな。
/home/juju/fonts/ にフォントを入れて、環境変数にセットしたけど認識してくれない :(

忙しい *

"忙しい"って言葉がキライ。
なので"忙しい"って言葉は使わないようにしている。(検索:忙しい)

実際に使われている例をみると、「忙しいから○○できない」って後を否定することが多い X(
だけど、「忙しいけど○○できたよ」って後を肯定するとなんかカッコイイ :D

そう考えると"忙しい"て言葉がキライなわけではなく、"忙しい"をイイワケに何かをやらない自分がキライなのかもしれない。

 

 

20
April, 2005*

FPGA選定 *

とりあえず回路を書いてみないとどの程度の規模のヤツが必要かわからないので、ざっくり設計。
Verilog HDLがはやっているようだけど、VHDLしか分かりません。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity pwmc is
    port(
        SCS     : in        std_logic;
        SIN     : in        std_logic;
        SCLK    : in        std_logic;
        PWM     : out       std_logic_vector(0 to 47);
        CLK     : in        std_logic;
        RESET   : in        std_logic
    );
end pwmc;

architecture Behavioral of pwmc is

signal      DeltaWave   : integer range 0 to 63;

type PWMDatatype is array (0 to 3) of integer range 0 to 64;
constant    PWMData     : PWMDatatype :=(0, 1, 8, 64);

type RAMDATAtype is array (0 to 47) of integer range 0 to 3;
signal      PWMValue    : RAMDATAtype;
signal      PWMValueBuf : std_logic_vector(0 to 1);
signal      PWMValuePos : integer range 0 to 95;

begin
    FORgen: for I in 0 to 47 generate
        PWM(I) <= '1' when (PWMData(PWMValue(I)) > DeltaWave) else '0';
    end generate;

    process(SCLK, SCS, SIN, RESET) begin
        if(RESET = '0') then
            for I in 0 to 47 loop
                PWMValue(I) <= 0;
            end loop;
        elsif(SCS = '0') then
            PWMValuePos    <= 0;
        elsif(SCLK'event and SCLK = '1') then
            PWMValuePos    <= PWMValuePos + 1;
            PWMValueBuf(1) <= PWMValueBuf(0);
            PWMValueBuf(0) <= SIN;
            if PWMValuePos mod 2 = 0 then
                PWMValue(PWMValuePos / 2) <= CONV_INTEGER(PWMValueBuf);
            end if;
        end if;
    end process;

    process(CLK, RESET) begin
        if(RESET = '0') then
            DeltaWave <= 0;
        elsif(CLK'event and CLK = '1') then
            DeltaWave <= DeltaWave + 1;
        end if;
    end process;
end Behavioral;

XilinxのCPLDにFitさせるためには、マクロセルが160個必要になるらしい。
ダブルバッファにするなら、200個以上かぁ。

CPLDにFitすれば最高なんだけどなぁ...FPGAって規模じゃないよね :(

マイコンでも *

マイコン野郎になるためには、FPGAを使わず全部マイコンでって言う手もあるのかな :)

 

 

27
April, 2005*

定期 *

久々に定期を買う。
定期を買ったのは10ヶ月ぶりだ。

定期を買ったので、途中下車しても安心です :)
博多は乗り越しなので、あまりお得じゃないなぁ :(